Friday 6 January 2012

VHDL Code For NAND Gate


Program for NAND Gate:-
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
               

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
                               
entity nand_a is
 Port ( a : in  STD_LOGIC;
 b : in  STD_LOGIC;
           c : out  STD_LOGIC);
end nand_a;
               
architecture dataflow of nand_a is
                begin
                c<=a nand b;
end dataflow;

No comments:

Comments System