Saturday 7 January 2012

VHDL Code For XNOR Gate


Program for XNOR Gate:-
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
               

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
                               
entity xnor_a is
 Port ( a : in  STD_LOGIC;
 b : in  STD_LOGIC;
           c : out  STD_LOGIC);
end xnor_a;
               
architecture Behavioral of xnor_a is
                begin
                c<=a xnor b;
end Behavioral;

No comments:

Comments System