Sunday 8 January 2012

VHDL Code For NOR Gate


Program for NOR Gate:-
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
               

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
                               
entity nor_a is
 Port ( a : in  STD_LOGIC;
 b : in  STD_LOGIC;
           c : out  STD_LOGIC);
end nor_a;
               
architecture dataflow of nor_a is
                begin
                c<=a nor b;
end dataflow;

No comments:

Comments System